Please use this identifier to cite or link to this item: http://dspace.dtu.ac.in:8080/jspui/handle/repository/20751
Full metadata record
DC FieldValueLanguage
dc.contributor.authorCHAITANYA, N.S.K.-
dc.date.accessioned2024-08-05T08:46:17Z-
dc.date.available2024-08-05T08:46:17Z-
dc.date.issued2024-05-
dc.identifier.urihttp://dspace.dtu.ac.in:8080/jspui/handle/repository/20751-
dc.description.abstractThis project aims to reduce leakage power consumption on SRAM. We have implemented LECTOR technique in 9T SRAM. The leakage power has a substantial improvement because of LECTOR technique. We observed 26% reduction of leakage power compared to 9T SRAM but delay of read and write have been affected because the signals are not good (LECTOR transistors are in cutoff region), which leads to increase in rise and fall delay. Further, this project compares stability and power consumption of different types of SRAM cells like 6 T, 8 T, 9 T. This project aims to find the parameters of these cells and compare them. Comparison is done specifically stability and power consumption and related parameters like Read stability, write stability, hold stability by finding out noise margins with butterfly curve. This project also aims to find out power dissipation of these cells. Static power dissipation and dynamic power dissipation are measured. Finally, write delay and read delay of these structures are also obtained. Main motivation of this project is to improve these parameters as leakage power dissipation is very important because it contributes largest proportion of power consumption in recent nanometer CMOS devices. This project observes considerably improvement in leakage power by using different structures and different structures leads to improvement in static noise margin. To reduce leakage power consumption on SRAM we have implemented LECTOR technique in 9T SRAM. The leakage power has a substantial improvement because of LECTOR technique. We observed 26% reduction of leakage power compared to 9T SRAM but delay of read and write have been affected because the signals aren't good (LECTOR transistors are in cutoff region) which leads to increase in rise and fall delay.en_US
dc.language.isoenen_US
dc.relation.ispartofseriesTD-7264;-
dc.subjectLECTOR TECHNIQUEen_US
dc.subjectISOLATED READ PORTen_US
dc.subjectSRAM CELLen_US
dc.titleLOW POWER ISOLATED READ PORT SRAM CELL DESIGN IN 45nm WITH LECTOR TECHNIQUEen_US
dc.typeThesisen_US
Appears in Collections:M.E./M.Tech. Electronics & Communication Engineering

Files in This Item:
File Description SizeFormat 
Chaitanya_M.Tech.pdf16.02 MBAdobe PDFView/Open


Items in DSpace are protected by copyright, with all rights reserved, unless otherwise indicated.